哪些是化妆品里的感光成分,感光浆的主要成分有哪些

编辑:网络投稿2022-08-01 04:05:48 关键字:[db:关键字]

获取报告请登陆未来智库www.vzkoo.com。

1.1 半导体行业下游需求端全面复苏,高景气度有望抵御疫情短期扰动

2020 年半导体行业下游应用市场逐渐回暖,包括智能手机、PC、汽车电子、安防、存储 与 5G 等细分市场上涨动力强劲。据 IDC 数据,2019 年全球智能手机出货量 13.67 亿台, 同比下降 2%。从各大手机品牌厂商的出货情况来看,三星、华为、苹果、小米和 Oppo 出货量分别为 2.98 亿台、2.41 亿台、1.98 亿台、1.26 亿台、1.20 亿台,同比分别增长 2%、 17%、-7%、4%、4%,市场份额分别为 21.8%、17.6%、14.5%、9.2%、8.8%。前五大厂 商中华为的市场份额提升最大,苹果的市场份额有所下降。从 2019 年 Q4 的情况来看, 2019 年第四季度全球智能手机出货量 3.69 亿台,同比增长 1%,连续两个季度正增长。 其中,苹果降价策略使得出货量同比提升 9%,市场份额提升至 21.3%。2020 年,随着 5G 加速商用推广,5G 手机有望快速渗透,叠加 5G 手机高含硅量,半导体需求增长动力 强劲。

PC 市场方面,从 2019 年 Q2 开始,全球 PC 市场大幅回暖,增速大幅提高提高,再次重 回正增长。据 IDC 数据,2019 年四季度,全球 PC 销量达到 7180 万台,同比增长 4.8%, 创下 4 年以来单季出货量新高。2019 年全年 PC 出货量 2.67 亿台,同比增长 2.7%,这是 自 2012 年以来全球 PC 市场首次重回正增长;预计 2020 年 PC 市场有望持续增长。

汽车电子方面,随着电动汽车的逐渐普及以及汽车电子成本占比提高,汽车电子市场规 模有望保持高增长。据中国产业信息数据,2018 年全球和中国汽车电子市场规模分别为 15833 亿元和 6073 亿元,预计 2022 年可达 21399 亿元和 9783 亿元,年均复合增长率分 别为 7.82%和 12.66%,中国增速远高于全球。目前中国新车汽车电子产品成本在整车成 本中的平均比重为 10%,其中轿车电子产品成本比重已达 10%~25%。全球汽车电子成本 在整车成本中的平均比重达 35%,我国汽车电子化水平相比国际水平仍存在较大进步空 间,市场前景广阔。

安防方面,随着全球政府、企业以及消费者安全意识的提高以及对安全系统付费意愿提 升,安防需求持续提高。根据研究机构 Markets and Markets 的数据, 2019 年,全球安防 解决方案市场规模为 2579 亿美元,2024 年市场规模将可达 3976 亿美元, 2019 年—2024 年的 CAGR 为 9.0%。

2020 年半导体下游应用市场呈现全面复苏态势,随着 5G 商用推广、汽车电子加速渗透 与数据中心建设等顺利推进,半导体行业需求端景气度高企有望抵御疫情短期扰动,半 导体产业链包括代工、封测、设计、设备以及材料等环节将持续受益。

1.2 半导体行业数据全面高企,龙头企业上调资本开支开启新一轮扩产周期

半导体销售额方面,2019 年 12 月全球半导体销售额 361.0 亿美元,同比下降-5.50%;2019 年 Q4 全球半导体销售额 1093.4 亿美元,同比下跌 9.93%,环比上涨 6.0%。2019 年 Q4 全球半导体销售额环比持续爬升,半导体行业复苏动力强劲。12 月中国半导体销售额 128.1 亿美元,同比增长 0.80%,环比下降 0.01%,全球占比维持在 35%左右。全球半导 体销售额 12 月同比跌幅收紧明显,中国半导体销售额 12 月同比小幅上升,2019 年下半 年半导体行业景气度高涨,下游需求保持旺盛。

半导体设备出货额方面,1 月北美半导体设备出货额 23.45 亿美元,同比增长 22.90%,环 比下降-5.90%。1 月日本半导体设备出货额 1701.29 亿日元,同比增长 3.10%,环比下降 -4.40%。北美与日本半导体设备出货额均创近年同期新高,半导体产能扩张进展顺利, 有望持续支撑下游需求复苏与技术创新。

半导体代工方面,据 IC Insights 数据,2018 年全球晶圆代工厂商销售额 710 亿美元, 较 2017 年的 576 亿美元增长 5%,全球晶圆代工厂商销售额连续五年年成长率高于 5%。 2013 年全球晶圆代工厂商销售额为 420 亿美元,2013 年至 2018 年年均复合增长率为 14.42%。其中最近五年纯晶圆代工厂商销售额占整个晶圆制造市场的比例平均约为 86%。

台积电 2020 年 2 月实现营收 933.94 亿新台币,同比增长 53.4%,环比下滑 9.9%。2 月营 收创历史同期新高,2 月同比增速创近 10 年同期新高。台积电 2 月数据延续高景气度, 疫情影响难撼产业大趋势。收入体量方面,2 月营收 933.94 亿新台币,历史同期最高营 收为 714.23 亿元,高于历史同期高值约 30.8%。台积电在疫情等负面影响下,2 月仍高 规格保障安全生产,订单体量不减,代工业务体量步入新台阶。同比增速方面,2 月同比 增速 53.4%,历史前次 2 月同比增速超过 30%为 2015 年,2015 年 2 月同比增速 33.77%。 半导体代工龙头 2 月收入体量创历史同期新高,增速创近年同期新高;半导体行业发动 机有望开启新一轮景气大周期。

2020 年半导体行业延续去年年末高景气度,1 月各大半导体代工与封测龙头营收淡季不 淡,代工与封测龙头上调 2020 年资本开资指引。台积电 2019 年资本开资 151.5 亿美元, 预计 2020 年资本开资 150~160 亿美元,资本开支仍维持高位水平。联电、中芯国际、日 月光与安靠预计 2020 年资本开支分别同比上涨 66.7%、55.0%、30.0%与 17.0%。全球半 导体销售、代工、封测与设备等供给端景气度全面高企,尤其以代工龙头台积电为代表, 2020 年高额资本开支有望推动半导体行业产能扩张,半导体材料环节将协同受益。

1.3 本土半导体材料厂商蓄势待发,持续加深进口替代

半导体材料包括半导体制造材料与半导体封测材料。晶圆制造材料包括硅晶圆、光掩模、 光刻胶、光刻胶辅助材料、湿化学品、电子气体、溅射靶材料、化学机械抛光(CMP)浆、 研磨垫、石英制品等。而封测材料包括引线框架和基板、陶瓷封装、封装树脂、键合线 和粘合剂等。

半导体材料中前端材料市场增速远高于后端材料,2016-2018 年的三年里,前端材料销售 额分别增长了 3%、13%、14%,后端材料销售额分别增长了-4%、5%、3%。前端材料的增长 归功于各种前端技术的积极使用,如极紫外(EUV)曝光,原子层沉积(ALD)和等离子体化 学气相沉积(PECVD)等。在晶圆制造材料中,硅片及硅基材料占比最高,约占 31%,其次 依次为光掩模板 14%,电子气体 14%,光刻胶及其配套试剂 12%,CMP 抛光材料 7%,靶材 3%,以及其他材料占 13%。在半导体封装材料中,封装基板占比最高,占 40%。其次依次 为引线框架 15%、键合丝 15%、包封材料 13%、陶瓷基板 11%、芯片粘合材料 4%、以 及其他封装材料 2%。

据 SEMI 数据,2018 年半导体材料市场增长到 519 亿美元,与 2017 年的 470 亿美元相比 增长了 10.6%,这主要归功于已完成投资的半导体工厂开始全面运营,以及由于半导体工 艺制程数量增加而导致材料消耗的增多。SEMI 预计 2019 年半导体材料市场增速约为 2%。 2018 年全球半导体制造材料市场规模为 330.18 亿美元,同比增长 17.14%;全球半导体 封装测试材料市场规模预计为 197.01 亿美元,同比增长 3.02%。2009 年至今,制造材料 市场规模增速一直高于封测材料市场增速。

中国台湾地区凭借庞大的晶圆代工市场和先进封装基地,2018 年以 114 亿美元连续第 9 年成为全球最大的半导体材料消费地区。2018 年,韩国、中国台湾与中国大陆的半导体 材料市场增长最为强劲,增速分别为 16%、11%与 11%;受益半导体产业持续转移,预计 中日韩三地半导体材料市场仍将保持高增长发展。

我国半导体材料占全球市场比例约 16%,且以封装材料为主,晶圆制造材料占比低于封装 材料。2017 年我国晶圆制造材料市场规模达 24.8 亿美元,同比增长 18.7%,预计 2020 年市场规模将达到 40.9 亿美元;国内封装材料市场规模达 50.9 亿美元,同比增长 8.8%, 预计 2020 年市场规模将达到 66.5 亿美元。我国半导体材料国产化占比较低,2017 年国 产半导体销售额约281.7亿元,其中国产封装材料销售额约116.4亿元,国产化率29.3%。

近年来我国半导体材料的整体国产化率仍然处于比较低水平,在进口替代领域仍具有较 大市场空间。此外,随着我国本土先进制程推进以及存储基地扩产,对半导体材料需求 将逐年提升,给本土材料厂商带来较大导入机会。

半导体硅片是集成电路及其他半导体产品的关键性、基础性原材料,目前 90%以上的半 导体产品使用硅基材料制造。通常将 95-99%纯度的硅称为工业硅。沙子、矿石中的二氧 化硅经过纯化,可制成纯度 98%以上的硅;高纯度硅经过进一步提纯变为纯度达 99.9999999%至 99.999999999%(9-11 个 9)的超纯多晶硅;超纯多晶硅在石英坩埚中熔 化,并掺入硼(P)、磷(B)等元素改变其导电能力,放入籽晶确定晶向,经过单晶生 长,制成具有特定电性功能的单晶硅锭。熔体的温度、提拉速度和籽晶/石英坩埚的旋转 速度决定了单晶硅锭的尺寸和晶体质量,而熔体中的硼(P)、磷(B)等杂质元素的浓 度决定了单晶硅锭的电特性。单晶硅锭经过切片、研磨、蚀刻、抛光、外延、键合、清 洗等工艺步骤,制造成为半导体硅片。

由于半导体行业与全球宏观经济形势紧密相关,全球半导体硅片行业在 2009 年受经济危 机影响较为低迷出货量与销售额均出现下滑;2010 年由于智能手机放量增长,硅片行业 大幅反弹。2011 年至 2016 年,全球经济逐渐复苏但依旧较为低迷,硅片行业亦随之低速发展。2017 年以来,受益于半导体终端市场需求强劲,下游传统应用领域计算机、移动 通信、固态硬盘、工业电子市场持续增长,新兴应用领域如人工智能、区块链、物联网、 汽车电子的快速发展,半导体硅片市场规模不断增长,并于 2018 年突破百亿美元大关。

2016 至 2018 年,全球半导体硅片销售金额从 72.09 亿美元增长至 113.81 亿美元,年均复 合增长率达25.65%;全球半导体硅片出货面积从10,738.00百万平方英寸增长至12,732.00 百万平方英寸,年均复合增长率 8.89%;全球半导体硅片销售单价从 0.67 美元/英寸上升 至 0.89 美元/英寸,年均复合增长率达 15.39%。受益于半导体硅片单价上升幅度较大,2016 至 2018 年全球半导体硅片销售额增速高于出货面积增速。

2018 年,300mm 硅片和 200mm 硅片市场份额分别为 63.83%和 26.14%,两种尺寸硅片合 计占比接近 90.00%。2011 年开始,200mm 半导体硅片市场占有率稳定在 25-27%之间。 2016 年至 2017 年,由于汽车电子、智能手机用指纹芯片、液晶显示器市场需求快速增长, 200mm 硅片出货面积从 2,690.00 百万平方英寸上升至 3,085.00 百万平方英寸,同比增长 14.68%。2018 年,受益于汽车电子、工业电子、物联网等应用领域的强劲需求,以及功 率器件、传感器等生产商将部分产能从 150mm 转移至 200mm,带动 200mm 硅片继续保 持增长,200mm 硅片出货面积达到 3,278.00 百万平方英寸,同比增长 6.25%。

自 2000 年全球第一条 300mm 芯片制造生产线建成以来,300mm 半导体硅片市场需求增 加,出货面积不断上升。2008 年,300mm 半导体硅片出货量首次超过 200mm 半导体硅 片;2009 年,300mm 半导体硅片出货面积超过其他尺寸半导体硅片出货面积之和。2000 年至 2018 年,由于移动通信、计算机等终端市场持续快速发展,300mm 半导体硅片出货 面积从 94.00 百万平方英寸扩大至 8,005.00 百万平方英寸,市场份额从 1.69%大幅提升至 2018 年的 63.83%,成为半导体硅片市场最主流的产品。2016 至 2018 年,由于人工智能、 区块链、云计算等新兴终端市场的蓬勃发展,300mm半导体硅片出货面积分别为6,817.00、 7,261.00、8,005.00 百万平方英寸,年均复合增长率为 8.36%。

下游应用市场中 5G 商用、手机创新、存储回暖、数据中心等各类市场回暖增长,各细分 市场中硅含量提升,硅片需求量拉升明显。2019 年智能手机 12 英寸硅片消耗量约为 130 万片/月,预计至 2023 年约达 165 万片/月,CAGR(2020-2023)约为 7.8%。

2008 年至 2013 年,中国大陆半导体硅片市场发展趋势与全球半导体硅片市场一致。2014 年起,随着中国各半导体制造生产线投产、中国半导体制造技术的不断进步与中国半导 体终端产品市场的飞速发展,中国大陆半导体硅片市场步入了飞跃式发展阶段。2016 年 至 2018 年,中国大陆半导体硅片销售额从 5.00 亿美元上升至 9.92 亿美元,年均复合增 长率高达 40.88%,远高于同期全球半导体硅片的年均复合增长率 25.65%。中国作为全球 最大的半导体产品终端市场,预计未来随着中国芯片制造产能的持续扩张,中国半导体 硅片市场的规模将继续以高于全球市场的速度增长。

2018 年全球半导体硅片行业销售额合计为 120.98 亿美元。其中,行业前五名企业的市场 份额分别为:日本信越化学市场份额27.58%,日本SUMCO市场份额24.33%,德国Siltronic 市场份额 14.22%,中国台湾环球晶圆市场份额为 16.28 %,韩国 SK Siltron 市场份额占比 为 10.16%。硅产业集团占全球半导体硅片市场份额 2.18%。

由于半导体硅片行业具有技术难度高、研发周期长、资金投入大、客户认证周期长等特 点,全球半导体硅片行业进入壁垒较高,行业集中度高。2018 年,全球前五大半导体硅 片企业信越化学、SUMCO、Siltronic、环球晶圆、SK Siltron 合计销售额 740.35 亿元,占 全球半导体硅片行业销售额比重高达 93%。

随着本土晶圆代工的推进,本土半导体硅片厂商陆续加大投资攻坚半导体大硅片市场。 据不完全统计,目前中国有数十家公司官宣介入 12 寸大硅片产业,规划总产能达 692 万 片/月。但由于 12 寸硅片多用于先进制程,对杂质、晶格缺陷等要求极高,本土厂商多处 于研发阶段;此外,长晶过程对单晶炉要求严苛,成熟 12 寸长晶路多采用进口,本土厂商与海外成熟技术仍具有一定差距,给我国硅片行业发展带来一定阻力与挑战。而随着 本土 12 寸晶圆厂数量增多以及产能扩张,将给本土硅片企业提供更多联合研发与测试机 会,有望加速本土硅片企业导入晶圆厂。

掩膜版(Photomask),又称光罩、光掩膜、光刻掩膜版、掩模版等,是下游行业产品制 造过程中的图形“底片”转移用的高精密工具,是承载图形设计和工艺技术等知识产权 信息的载体。掩膜版用于下游电子元器件制造业批量生产,是下游行业生产流程衔接的 关键部分,是下游产品精度和质量的决定因素之一。掩膜版的功能类似于传统照相机的 “底片”。

掩膜版厂商根据客户所需要的图形,用光刻机在原材料上光刻出相应的图形,将不需要 的金属层和胶层洗去,即得到掩膜版产成品。掩膜版的原材料掩膜版基板是制作微细光 掩膜图形的感光空白板。通过光刻制版工艺,将微米级和纳米级的精细图案刻制于掩膜 版基板上制作成掩膜版。掩膜版对下游行业生产线的作用主要体现为利用掩膜版上已设 计好的图案,通过透光与非透光的方式进行图像(电路图形)复制,从而实现批量生产。 发行人生产的掩膜版产品根据基板材质的不同主要可分为石英掩膜版、苏打掩膜版和其 他(包含凸版、菲林)。

从产业链来看,掩膜版是下游电子元器件制造商(平板显示、半导体芯片、触控和电路 板等行业)生产制造过程中的核心模具,起到桥梁和纽带的作用,电子元器件制造商的 产品则广泛应用于消费电子、家电、汽车等电子产品领域。掩膜版除应用于半导体芯片 领域外,还广泛应用于平板显示领域。

在半导体芯片领域,根据SEMI 数据,2018年全球半导体掩膜版市场规模达45.1亿美元, 同比增长 15.9%;预计 2020 年市场规模将超越 55 亿美元。受益于过去几年中国大陆晶圆 制造的快速发展,中国大陆半导体芯片掩膜版市场规模出现快速增长的趋势。

在平板显示领域,根据 IHS 研究报告,2018 年全球平板显示掩膜版需求为 895 亿日元, 其中中国大陆平板显示掩膜版需求为 357 亿日元,占全球市场需求比率为 40%。随着中 国大陆面板厂商不断投资新的平板显示产线,预计 2020 年中国平板显示产能的全球占有 率将达到 52%,中国大陆平板显示掩膜版市场规模将呈现持续快速增长的趋势。

根据 IHS 数据,中国大陆平板显示行业掩膜版需求量占全球比重,从 2011 年的 5%上升 到 2017 年的 32%。未来随着相关产业进一步向国内转移,国内平板显示行业掩膜版的需 求量将持续上升,预计到 2023 年,中国大陆平板显示行业掩膜版需求量全球占比将达到 50.64%。

掩膜版产业链主要企业包括日本的 SKE、HOYA、DNP、Toppan,韩国的 LG-IT,美国的 福尼克斯,中国台湾的台湾光罩和中国大陆的清溢光电、路维光电等。

掩膜版行业进入门槛较高,市场主要参与者主要为境内外知名企业,市场集中度较高。 据 HIS 统计, 2018 年 SKE、HOYA、LG-IT、PKL、DNP 的市场份额分别为 26.40%、 19.06%、 18.43%、15.09%与 11.19%,行业前五市占率合计约 90.17%。此外,本土企业清溢光电以 4.46%市占率排名第六,未来随着半导体与面板向国内转移以及国产化率提升,清溢光电 有望持续提升市场份额。

光刻胶是由成膜树脂、感光化合物和溶剂三种主要成分组成的具有光化学敏感性的混合 液体。通过经曝光和显影后留下的光刻胶对底层起保护作用,后经蚀刻将所需要的微细 图形从掩模版转移到待加工的衬底上。光刻胶是电子产品微细加工技术中的关键性电子 化学品,主要应用于集成电路(IC)、液晶显示(LCD)、触摸屏(TP)、发光二极管 (LED)等产品微细加工,同时在先进封装,磁头及微机电系统(MEMS)等领域也有 着广泛的应用。

光刻胶配套化学品是在光刻过程中配套使用的专用化学品,主要包括增粘剂、稀释剂、 显影液、剥离液、清洗剂等。

光刻胶的技术复杂、品种繁多,根据曝光波长划分为:紫外宽谱(g+h+i 线)光刻胶、 KrF(248nm)光刻胶、ArF(193nm)光刻胶、辐射线光刻胶等。根据化学反应机理,光 刻胶可分负性光刻胶、正性光刻胶两类。由于负性光刻胶显影时易变形和膨胀,分辨率 通常只能达到 2 微米,因此正性光刻胶的应用更为普及。

根据 SEMI 数据,2018 年全球半导体光刻胶市场规模约 16.1 亿美元;半导体光刻胶配套 试剂市场规模约 22.5 亿美元,同比增长 15.9%。我国国产光刻胶市场与国外行业巨头仍 存在较大差距,2017 年国产半导体光刻胶销售额约 5.6 亿元,本土半导体光刻胶市场国 产率极低。

以 ArF 光刻胶产品为代表的先进光刻胶以及工艺的主要技术和专利都掌握在国外的企 业与研究部门,如日本的信越化学(Shin-Etsu Chemical)、合成橡胶(JSR)、东京应化(TOK)、 住友化学(Sumitomochem)、富士胶片(Fujifilm)和美国陶氏(Dow Chemical Company)。

前四大光刻胶厂商合成橡胶、信越化学、东京应化以及住友化学均为日系厂商,市占率 分别为 24.3%、23.4%、20.3%与 14.9%,行业前四大厂商合计市占率达 82.9%,行业前七 大厂商合计市占率达 97.9%,行业高度集中。

我国光刻胶及配套化学品的研究始于 20 世纪 70 年代,但目前我国在该行业与国际先进 水平相比有较大差距,造成差距主要原因系:一方面,高端光刻胶树脂合成及光敏剂合 成技术与国际水平相比还有一定距离;另一方面,高端光刻胶的研究需要匹配昂贵的曝 光机和检测设备,远远超出一般科研单位所能承受的范围。目前,国内高端光刻胶产品 尚需依赖进口。

在半导体晶圆制造工艺中,气体的使用非常广泛,通常统称为高纯特种气体或高纯电子 级气体,具有高纯度与高危险性。由于在化学气相沉积、刻蚀、离子注入、外延等最前 端制造工艺中,气体中的有害杂质浓度对芯片的成品率有着直接的影响。目前,大部分 的高纯特种气体的纯度达 99.99%(4N)以上。随着集成电路制造技术的不断提升,对气 体纯度的要求越来越高,部分气体需要经过进一步纯化处理,使其纯度达 99.999%(5N) 以上。同时,大部分的特种气体还具有高压、易燃、高腐蚀性、剧毒等特点,因此对装 载特种高纯气体的钢瓶、阀门、管道等有着极高的安全要求。

特种气体的主要生产工序包括气体合成、气体纯化、气体混配、气瓶处理、气体充装、 气体分析检测。气体合成是将原料在特定压力、温度、催化剂等条件下,通过化学反应 得到气体粗产品。气体纯化是通过精馏、吸附等方式将粗产品精制成更高纯度的产品。 气体混配是将两种或两种以上有效组分气体按照特定比例混合,得到多组分均匀分布的 混合气体。气瓶处理是根据载气性质及需求的不同,对气瓶内部、内壁表面及外观进行 处理的过程,以保证气体存储、运输过程中产品的稳定。气体充装是指通过压力差将气 体充入气瓶等压力容器;气体分析检测即为对气体的成分进行分析、检测的过程。

常用的高纯特种气体有 30 多种,主要可以分为烷类、卤化物气体以及其他气体,具有易 燃、易爆、剧毒等特性,生产难度较高,具有较高价值量。根据 SEMI 数据,2018 年全 球半导体电子特气市场规模约 45.1 亿美元。2017 年国产半导体电子特气销售额约 47.7 亿元,本土电子特气厂商具有一定市场份额,但高价值量的特种气体仍具有极高替代空 间。

国内特种气体于 20 世纪 80 年代随着国内电子行业的兴起而逐步发展,并且随着医疗、 食品、环保等行业的发展应用领域和产品种类不断丰富,由于技术、工艺、设备等多方 面差距明显,发展初期特种气体产品基本依赖进口。

随着技术的逐步突破,国内气体公司在电光源气体、激光气体、消毒气等领域发展迅速, 但与国外气体公司相比,大部分国内气体公司的供应产品仍较为单一,用气级别不高, 尤其在集成电路、显示面板、光伏能源、光纤光缆等高端领域。2017 年空气化工集团、 液化空气集团、大阳日酸株式会社、普莱克斯集团、林德集团等国外气体公司的市场占 比超过 80%。以华特股份为代表的国内气体公司通过多年持续的研发和投入,已陆续实 现 IC 用高纯二氧化碳、高纯六氟乙烷、光刻气等多个产品的进口替代。

化学机械抛光(CMP)是集成电路制造过程中实现晶圆表面平坦化的关键工艺。与传统 的纯机械或纯化学的抛光方法不同,CMP 工艺是通过表面化学作用和机械研磨的技术结 合来实现晶圆表面微米/纳米级不同材料的去除,从而达到晶圆表面的高度(纳米级)平 坦化效应,使下一步的光刻工艺得以进行。CMP 的主要工作原理是在一定压力下及抛光 液的存在下,被抛光的晶圆对抛光垫做相对运动,借助纳米磨料的机械研磨作用与各类 化学试剂的化学作用之间的高度有机结合,使被抛光的晶圆表面达到高度平坦化、低表 面粗糙度和低缺陷的要求。

抛光液主要分为铜抛光液、钨抛光液、硅粗抛光液、钴抛光液等种类。铜抛光液广泛应 用于 130nm 及以下技术节点逻辑芯片的制造工艺,在存储芯片制造过程中也有一定的使 用;钨抛光液大量应用于存储芯片制造工艺,在逻辑芯片中仅用于部分工艺段;硅粗抛 光液主要应用于硅晶圆的初步加工过程中,硅晶圆是集成电路的基底材料。因此,随着 集成电路技术的进步和对集成电路性能要求的增加,铜抛光液、钨抛光液和硅粗抛光液的市场需求会进一步增长。对于新型的钴抛光液,为了进一步提升芯片性能,在 10nm 及 以下技术节点中,钴将部分代替铜作为导线,要求全新的钴抛光液对其进行抛光。

根据不同工艺制程和技术节点的要求,每一片晶圆在生产过程中都会经历几道甚至几十 道的 CMP 抛光工艺步骤。

CMP 抛光材料包括抛光液和抛光垫,其耗用量随着晶圆产量和 CMP 工艺步骤数增加而 增加。根据 Cabot Microelectronics 官网公开披露的资料,2016 年、2017 年和 2018 年全 球化学机械抛光液市场规模分别为11.0亿美元、12.0亿美元和12.7亿美元,预计2017-2020 年全球 CMP 抛光材料市场规模年复合增长率为 6%。

在半导体集成电路技术不断推进过程中,必然出现多种新技术和新衬底材料,这些新技 术和新衬底材料对抛光工艺材料提出了许多新的要求。

具体而言,更先进的逻辑芯片工艺会要求抛光新的材料,为 CMP 抛光材料带来了更多的 增长机会,比如 14 纳米以下逻辑芯片工艺要求的关键 CMP 工艺将达到 20 步以上,使用 的抛光液将从 90 纳米的五、六种抛光液增加到二十种以上,种类和用量迅速增长;7 纳 米及以下逻辑芯片工艺中 CMP 抛光步骤甚至可能达到 30 步,使用的抛光液种类接近三 十种。同样地,存储芯片由 2D NAND 向 3D NAND 技术变革,也会使 CMP 抛光步骤数 近乎翻倍。

此外,我国正在推进存储器领域的国产化进程。在 NAND Flash 领域,目前长江存储已经 推出了 32 层和 64 层的闪存芯片,后续长江存储将直接开发 128 层的闪存产品。在产能 方面,长江存储 64 层的闪存产品已经量产,并将尽快提升到 10 万片的月产能,在二期 项目中提升到 30 万片的月产能。随着长江存储产能的逐步提升,预计到 2021 年长江存 储在 NAND Flash 市场的占有率将达 5%。在 DRAM 方面,长鑫存储已经开始采用 10G1 技术(19nm 工艺)生产 4Gb 和 8GbDDR4,未来还将继续开发 DDR4/LPDDR4X、 DDR5/LPDDR5 的 10G3(17nm 工艺)产品。目前长鑫存储月产能约为 2 万片,计划到 2020 年 Q2 实现 4 万片月产能,2020 年底实现 12 万片的月产能。本土存储厂商扩产放量,CMP 厂商将迎来导入机会。

目前,全球 CMP 抛光材料厂商主要以海外厂商为主,包括 Cabot、Hitachi、Fujimi、Versum、 Dow 等。其中 CMP 抛光液主要厂商 Cabot、Hitachi、Fujimi、Versum、Dow 以及安集科 技市占率分别为 36.0%、15.0%、11.0%、10.0%、6.0%与 2.5%,前四大厂商合计占比约 72%,行业集中度较高。

CMP 抛光垫行业中,Dow 占据绝大部分份额,市占率达 76%。此外,Cabot、Fujibo 与 TWI 的市占率分别为 12%、6%与 3%。国内厂商鼎龙股份积极转型,配合本土晶圆厂建 设研发导入抛光垫。

(1)Cabot Microelectronics

Cabot Microelectronics Corporation(Cabot Microelectronics)成立于 1999 年,总部位于美 国,员工数量约 1,179 名(截至 2017 年 10 月 31 日),纳斯达克证券交易所上市公司。 Cabot Microelectronics 是全球领先的化学机械抛光液供应商和第二大化学机械抛光垫供 应商。

(2)Versum

Air Products and Chemicals, Inc.于 2016 年 10 月剥离电子材料业务,成立 Versum Materials, Inc.(Versum)。Versum 总部位于美国,员工数量约 2,200 名(截至 2017 年 9 月 30 日), 纽约证券交易所上市公司。Versum 拥有材料、交付系统和服务两大业务,其中材料业务 又包括先进材料和工艺材料两大产品类别:先进材料指集成电路制造过程中使用的先进 沉积材料产品(高纯度特种气体和化学品)、化学机械平坦化产品(CMP 研磨液和后 CMP 清洁)、表面准备和清洁配方产品;工艺材料指半导体、显示器和发光二极管客户在清 洗、蚀刻、掺杂、薄膜沉积等过程中使用的高纯度气体和化学品。

(3)Entegris(2019 年 1 月 28 日 Entegris 和 Versum 宣布合并)

Entegris, Inc.和 Mykrolis Corporation 于 2005 年 3 月 17 日合并为 Entegris, Inc. (Entegris)。 Entegris 总部位于美国,员工数量约 3,900 名(截至 2017 年 12 月 31 日),纳斯达克证券 交易所上市公司。Entegris 是全球领先的半导体和其他高科技行业制造过程中微污染控制 产品、特种化学品、先进材料处理解决方案的开发商、制造商、供应商,拥有特种化学 品和工程材料、微污染控制、先进材料处理三大业务部门。其中,特种化学品和工程材 料业务部门提供特种气体、特种材料、先进沉积材料、表面处理和集成产品。

(4)Fujimi

Fujimi Incorporated(Fujimi)成立于 1953 年,总部位于日本,员工数量约 844 名(截至 2018 年 3 月 31 日),东京证券交易所和名古屋证券交易所上市公司。Fujimi 是合成精密 研磨剂制造商,产品线包括硅晶圆及其他半导体衬底的抛光研磨剂、半导体芯片上多层 电路所需的化学机械抛光产品、电脑硬盘研磨剂,并正在培育金属陶瓷、热喷涂材料等 新领域。

(5)安集科技

安集科技主营业务为关键半导体材料的研发和产业化,成功打破了国外厂商对集成电路 领域化学机械抛光液的垄断,实现了进口替代,使中国在该领域拥有了自主供应能力。 公司化学机械抛光液已在 130-28nm 技术节点实现规模化销售,主要应用于国内 8 英寸和 12 英寸主流晶圆产线;14nm 技术节点产品已进入客户认证阶段,10-7nm 技术节点产品 正在研发中。安集科技 2018 年实现营收 2.05 亿美元,全球市占率约 2.44%。

(6)鼎龙股份

鼎龙股份积极布局 CMP 抛光垫行业,应用于成熟制程领域的系列产品在持续开拓市场; 应用于先进制程领域的 DH3201/DH3410 系列产品已成功投产,且已顺利初步通过客户的 离线马拉松测试。除了已有订单,目前八寸主流晶圆厂都已在全面测试鼎汇产品,且大 多已处于测试后期阶段;已有订单客户的持续放量叠加新增客户需求,未来一年将是公 司在八寸晶圆厂的业绩突破期。另外,国内十二寸主流晶圆厂也已开始全面测试鼎汇的 抛光垫产品,目前进展顺利, 且于上半年已取得十二寸客户的第一张订单,下半年预计 将是十二寸客户订单的收获期。

超大规模集成电路制造过程中要反复用到的溅射(Sputtering)工艺属于物理气相沉积 (PVD)技术的一种,是制备电子薄膜材料的主要技术之一,它利用离子源产生的离子, 在高真空中经过加速聚集,而形成高速度能的离子束流,轰击固体表面,离子和固体表 面原子发生动能交换,使固体表面的原子离开固体并沉积在基底表面,被轰击的固体是 用溅射法沉积薄膜的原材料,称为溅射靶材。

高纯溅射靶材包括铝靶、钛靶、钽靶、钨钛靶等,这些产品主要应用于超大规模集成电 路芯片、液晶面板、薄膜太阳能电池制造的物理气相沉积(PVD)工艺,用于制备电子 薄膜材料。

一般来说,溅射靶材主要由靶坯、背板等部分构成,其中,靶坯是高速离子束流轰击的 目标材料,属于溅射靶材的核心部分,在溅射镀膜过程中,靶坯被离子撞击后,其表面 原子被溅射飞散出来并沉积于基板上制成电子薄膜;由于高纯度金属强度较低,而溅射 靶材需要安装在专用的机台内完成溅射过程,机台内部为高电压、高真空环境,因此, 超高纯金属的溅射靶坯需要与背板通过不同的焊接工艺进行接合,背板起到主要起到固 定溅射靶材的作用,且需要具备良好的导电、导热性能。

根据 SEMI 数据,2018 年全球半导体靶材市场规模约 9.7 亿美元。2017 年国产半导体靶 材销售额约 12.1 亿元,溅射靶材主要被日本、美国的国际化企业所垄断,本土靶材厂商 有望持续受益本土晶圆厂扩张进展,加快国产化导入。

溅射靶材是半导体、液晶显示、太阳能光伏等各应用行业的上游材料,溅射靶材的品质 要求高、行业认证壁垒高,行业集中度也很高。同时,溅射靶材行业市场化程度很高, 竞争较为激烈。长期以来,溅射靶材主要被日本、美国的国际化企业所垄断。

根据有研新材公告数据,日矿金属是全球最大的靶材供应商,靶材销售额约占全球市场 的 30%,霍尼韦尔在并购 Johnson Mattey、整合高纯铝、钛等原材料生产厂后,占到全球 市约 20%的份额,此外,东曹和普莱克斯分别占 20%和 10%。

(1)日矿金属

JX 日矿日石金属株式会社(JX Nippon Mining & Metals Corporation),成立于 1992 年, 为 JX 控股(JX Holdings)子公司。JX 控股总部位于日本,为东京证券交易所上市公司, 2014 年财富世界五百强排名第 51 位,主要有能源业务、石油天然气探测和生产业务、金属业务三大业务,其中金属业务为日矿金属运营,日矿金属以铜为中心,致力开展从上 游的资源开发、中游的金属冶炼至下游的电子材料加工、环保资源再生业务,主要产品 包括铜箔、复合半导体、金属粉末、溅射靶材等,其中溅射靶材主要用于大规模集成电 路、平板显示、相变光盘等。

(2)霍尼韦尔

霍尼韦尔国际公司(Honeywell International Inc.),成立于 1885 年,总部位于美国,纽 约证券交易所上市公司,2014 年财富世界五百强排名第 283 位,拥有航空航天集团、自 动化控制系统集团以及特殊材料和技术集团三大业务部门。其中特殊材料和技术集团下 属特性材料业务部门,主要产品之一电子原材料包括热界面材料、电子化学品、电子聚 合物、贵金属热电偶、靶材、线圈组和金属材料等。霍尼韦尔的主要靶材包括钛铝靶、 钛靶、铝靶、钽靶、铜靶等。

(3)东曹

东曹株式会社(Tosoh Corporation)成立于 1935 年,总部位于日本,为东京证券交易所 上市公司,2014 年福布斯世界两千强排名第 1904 位,其功能产品部门由有机化学产品、 高机能材料产品、生命科学三部分组成,其中高机能材料产品主要包括电池材料、石英 玻璃、分子筛、溅射靶材等。其溅射靶材通过在美国、日本、韩国和中国的生产基地生 产,主要用于半导体、太阳能发电、平板显示器、磁记录媒体等领域。东曹在中国大陆 设有 4 家子公司,其中溅射靶材相关业务主要由东曹达(上海)贸易有限公司、东曹达 (上海)电子材料有限公司两家子公司经营。

(4)普莱克斯

普莱克斯公司(Praxair, Inc.)成立于 1907 年,总部位于美国,为纽约证券交易所上市公 司,2014 年福布斯世界两千强排名第 437 位,是世界最大的气体供应商之一,主要产品 包括大气气体产品、生产气体产品以及表面技术产品。普莱克斯公司主要服务于航空航 天、化工、医疗保健、金属生产、石油天然气、能源、电子等行业,其中其电子行业的 主要产品包括电子设备、次大气气体输送系统、溅射靶材等,其溅射靶材主要应用于电 子及半导体行业。

(5)江丰电子

江丰电子自成立以来一直从事高纯溅射靶材的研发、生产和销售业务,主要产品为各种 高纯溅射靶材,包括铝靶、钛靶、钽靶、钨钛靶等,这些产品主要应用于半导体(主要 为超大规模集成电路领域)、平板显示、太阳能等领域。目前,公司的超高纯金属溅射 靶材产品已应用于世界著名半导体厂商的先端制造工艺,在 7 纳米技术节点实现批量供 货。

(6)有研新材

有研新材全资子公司有研亿金于 2000 年 10 月在国家工商总局注册成立,注册资本 17,281.6253 万元,主要研发、生产和销售微电子光电子用薄膜新材料和生物医用新材料, 产品包括靶材、蒸镀材料、口腔正畸器材和医疗用介入支架等,其靶材产品主要包括铝 及其合金靶、钛靶、铜靶、钽靶等。

(7)阿石创

福建阿石创新材料股份有限公司成立于 2002 年 10 月,住所位于福建省长乐市,注册资 本为 5,880 万元,专业从事各种 PVD 镀膜材料研发、生产和销售,主导产品为溅射靶材 和蒸镀材料两个系列产品,产品已在平板显示、光学元器件、节能玻璃等领域得到应用, 下游客户包括蓝思科技、伯恩光学、宸鸿科技、爱普生、水晶光电等。

在硅片制造环节,大量使用的石英玻璃产品主要是用于制作晶圆 IC 载体(拉制单晶)的 石英坩埚;另外还有使用到一部分石英清洗容器。在晶圆制造加工过程中:氧化、外延、 光刻、刻蚀、扩散、CVD 和注入离子、磨平等对硅晶圆进行一系列处理的工艺环节,石 英玻璃凭借高纯度、耐高温、低的热膨胀、耐腐蚀等优良性能而被大量采用。

一般半导体前端工序石英器件可以分为高温区器件和低温区器件两大类。高温区器件主 要是扩散氧化等环节使用的炉管、扩散管、玻璃舟架等,需要在高温环境中直接或间接 与硅片接触;高温区主要是采购电熔石英玻璃材料,通过热加工生产。低温区器件主要 是刻蚀环节的石英环等,还包括清洗过程中的花篮、清洗槽等,主要在低温环境中使用; 低温区主要采购气炼石英玻璃,通过冷加工生产。其中高温区器件消耗速度较快,类似 多片机(一个承载器具承放多个硅片),低温区器件消耗速度较慢,类似单片机(一个 承载器具承放一个硅片)。

根据测算,每生产 1 亿美元的电子信息产品,平均需要消耗价值 50 万美元的石英材料。 2019 年,全球半导体销售额分别为 4110 亿美元,对应石英材料市场空间分别约为 20.55 亿美元,全球石英材料市场约 150 亿元。随着本土晶圆产能的高速扩产,我国本土石英 市场空间将协同高速发展,我们本土石英材料导入迎来蓬勃发展期。

石英行业的初始上游为石英矿石,中游为石英材料行业,下游为石英玻璃制品行业,最 终产品形式主要为不同应用领域产品的耗材。目前石英材料供求的总态势是:普通石英 供求基本平衡,需求略胜,优质石英略有缺口,高纯、超纯石英货紧价高。我国生产的 普通石英、优质乃至准高纯石英可以自给,但高纯、超高纯石英尚需进口。石英制品行 业的上游为石英矿石、石英砂和石英玻璃材料制造业。

石英市场的价值量集中在光纤及半导体领域。在集成电路和光纤应用领域,外资企业主 导整个产业链,从上游原材料到下游制品均设置了较高的进入壁垒。国产石英生产商急 需突破技术壁垒和认证封锁。

半导体市场对石英材料的纯净度、规格精度、质量稳定性要求高,国内大部分石英制品 生产企业不具备生产高纯石英砂及电子级石英制品的能力。目前国内半导体厂商仍以向 国外企业进口石英制品为主,国际知名石英企业——贺利氏、迈图、日本东曹等占据了 中国大部分光纤半导体应用市场。据 IBISWorld 统计,贺利氏、迈图、东曹的全球市场 份额占比合计超过 60%。

9.1 中环股份:单晶光伏供不应求,加大 12 寸半导体硅片投入

9.2 上海新阳:光刻机进厂支撑研发,合肥扩产导入晶圆厂

9.3 南大光电:MO 源、电子特气、光刻胶多领域布局

9.4 鼎龙股份:受益存储制造扩产放量,CMP 抛光垫开启国产化

9.5 安集科技:受益存储制造扩产放量,CMP 抛光液加速国产化

9.6 雅克科技:转型跻身半导体行业

9.7 江丰电子:专注靶材领域技术拓展,多品类持续布局

9.8 清溢光电:国产光掩模龙头企业

9.9 石英股份:打通石英全产业链,龙头企业引领国产化

……

获取报告请登陆未来智库www.vzkoo.com。

立即登录请点击:「链接」

相关文章
哪些是化妆品里的感光成分,感光浆的主要成分有哪些

哪些是化妆品里的感光成分,感光浆的主要成分有哪些

获取报告请登陆未来智库www.vzkoo.com。1.1 半导体行业下游需求端全面复苏,高景气度有望抵御疫情短期扰动2020 年半导体[详情]

去皱纹的化妆品,哪种化妆品可去皱纹

去皱纹的化妆品,哪种化妆品可去皱纹

皱纹、皮肤松弛、暗沉无光.......现代的女性们,在生活和工作的压力全都扛在瘦小的肩膀上,我们一边顾全工作和家庭,一边面[详情]

去黑头收缩毛孔化妆品,遮盖毛孔黑头的化妆品

去黑头收缩毛孔化妆品,遮盖毛孔黑头的化妆品

\t平时和我们去黑头又是挤又是撕拉面膜的,这些都肌肤伤害都很大,不建议使用,会把毛孔弄的越来越大,而且毛孔大了以后修复[详情]

盘龙云海化妆品怎么样,盘龙云海出的护肤品

盘龙云海化妆品怎么样,盘龙云海出的护肤品

阿里巴巴国际站直播 供图 2021年11月12日零点,天猫总交易额定格在5403亿元,京东累计下单金额超3491亿元。今年“双11”线[详情]

世界汉方配伍护肤品有哪些,汉方颜化妆品是真的吗

世界汉方配伍护肤品有哪些,汉方颜化妆品是真的吗

本文为《美妆头条》原创作品,转载请授权随着消费者美妆理念的加速升级,功效型化妆品以专业性、安全性和功效性的特征,逐渐[详情]

contact us

Copyright     2018-2020   All rights reserved. 鄂ICP备20010825号-1